Pollution sources and prevention in semiconductor manufacturing industry

Semiconductor device production mainly includes discrete devices, integrated circuits and their packaging processes.
Semiconductor production can be divided into three stages: product body material production, product wafer manufacturing and device assembly. Among them, the most serious pollution is the product wafer manufacturing stage.
Pollutants are mainly divided into wastewater, waste gas and solid waste.
Chip manufacturing process:
Silicon wafer after external grinding - cleaning - oxidation - uniform resist - photolithography - development - etching - diffusion, ion implantation - chemical vapor deposition - chemical mechanical polishing - metallization, etc.

Wastewater
A large amount of wastewater is generated in each process step of semiconductor manufacturing and packaging testing, mainly acid-base wastewater, ammonia-containing wastewater and organic wastewater.

1. Fluorine-containing wastewater:
Hydrofluoric acid becomes the main solvent used in oxidation and etching processes due to its oxidizing and corrosive properties. Fluorine-containing wastewater in the process mainly comes from the diffusion process and chemical mechanical polishing process in the chip manufacturing process. In the cleaning process of silicon wafers and related utensils, hydrochloric acid is also used many times. All these processes are completed in dedicated etching tanks or cleaning equipment, so fluorine-containing wastewater can be discharged independently. According to the concentration, it can be divided into high-concentration fluorine-containing wastewater and low-concentration ammonia-containing wastewater. Generally, the concentration of high-concentration ammonia-containing wastewater can reach 100-1200 mg/L. Most companies recycle this part of wastewater for processes that do not require high water quality.
2. Acid-base wastewater:
Almost every process in the integrated circuit manufacturing process requires the chip to be cleaned. At present, sulfuric acid and hydrogen peroxide are the most commonly used cleaning fluids in the integrated circuit manufacturing process. At the same time, acid-base reagents such as nitric acid, hydrochloric acid and ammonia water are also used.
The acid-base wastewater of the manufacturing process mainly comes from the cleaning process in the chip manufacturing process. In the packaging process, the chip is treated with acid-base solution during electroplating and chemical analysis. After treatment, it needs to be washed with pure water to produce acid-base washing wastewater. In addition, acid-base reagents such as sodium hydroxide and hydrochloric acid are also used in the pure water station to regenerate anion and cation resins to produce acid-base regeneration wastewater. Washing tail water is also produced during the acid-base waste gas washing process. In integrated circuit manufacturing companies, the amount of acid-base wastewater is particularly large.
3. Organic wastewater:
Due to different production processes, the amount of organic solvents used in the semiconductor industry is very different. However, as cleaning agents, organic solvents are still widely used in various links of manufacturing packaging. Some solvents become organic wastewater discharge.
4. Other wastewater:
The etching process of the semiconductor production process will use a large amount of ammonia, fluorine and high-purity water for decontamination, thereby generating high-concentration ammonia-containing wastewater discharge.
The electroplating process is required in the semiconductor packaging process. The chip needs to be cleaned after electroplating, and electroplating cleaning wastewater will be generated in this process. Since some metals are used in electroplating, there will be metal ion emissions in the electroplating cleaning wastewater, such as lead, tin, disc, zinc, aluminum, etc.

Waste gas
Since the semiconductor process has extremely high requirements for the cleanliness of the operating room, fans are usually used to extract various types of waste gases volatilized during the process. Therefore, the waste gas emissions in the semiconductor industry are characterized by large exhaust volume and low emission concentration. Waste gas emissions are also mainly volatilized.
These waste gas emissions can be mainly divided into four categories: acidic gas, alkaline gas, organic waste gas and toxic gas.
1. Acid-base waste gas:
Acid-base waste gas mainly comes from diffusion, CVD, CMP and etching processes, which use acid-base cleaning solution to clean the wafer.
At present, the most commonly used cleaning solvent in semiconductor manufacturing process is a mixture of hydrogen peroxide and sulfuric acid.
The waste gas generated in these processes includes acidic gases such as sulfuric acid, hydrofluoric acid, hydrochloric acid, nitric acid and phosphoric acid, and the alkaline gas is mainly ammonia.
2. Organic waste gas:
Organic waste gas mainly comes from processes such as photolithography, development, etching and diffusion. In these processes, organic solution (such as isopropyl alcohol) is used to clean the surface of the wafer, and the waste gas generated by volatilization is one of the sources of organic waste gas;
At the same time, the photoresist (photoresist) used in the process of photolithography and etching contains volatile organic solvents, such as butyl acetate, which volatilizes into the atmosphere during the wafer processing process, which is another source of organic waste gas.
3. Toxic waste gas:
Toxic waste gas mainly comes from processes such as crystal epitaxy, dry etching and CVD. In these processes, a variety of high-purity special gases are used to process the wafer, such as silicon (SiHj), phosphorus (PH3), carbon tetrachloride (CFJ), borane, boron trioxide, etc. Some special gases are toxic, asphyxiating and corrosive.
At the same time, in the dry etching and cleaning process after chemical vapor deposition in semiconductor manufacturing, a large amount of full oxide (PFCS) gas is required, such as NFS, C2F&CR, C3FS, CHF3, SF6, etc. These perfluorinated compounds have strong absorption in the infrared light region and stay in the atmosphere for a long time. They are generally considered to be the main source of the global greenhouse effect.
4. Packaging process waste gas:
Compared with the semiconductor manufacturing process, the waste gas generated by the semiconductor packaging process is relatively simple, mainly acidic gas, epoxy resin and dust.
Acidic waste gas is mainly generated in processes such as electroplating;
Baking waste gas is generated in the process of baking after product pasting and sealing;
The dicing machine generates waste gas containing trace silicon dust during the wafer cutting process.

Environmental pollution problems
For the environmental pollution problems in the semiconductor industry, the main problems that need to be solved are:
· Large-scale emission of air pollutants and volatile organic compounds (VOCs) in the photolithography process;
· Emission of perfluorinated compounds (PFCS) in plasma etching and chemical vapor deposition processes;
· Large-scale consumption of energy and water in production and safety protection of workers;
· Recycling and pollution monitoring of by-products;
· Problems of using hazardous chemicals in packaging processes.

Clean production
Semiconductor device clean production technology can be improved from the aspects of raw materials, processes and process control.

Improving raw materials and energy
First, the purity of materials should be strictly controlled to reduce the introduction of impurities and particles.
Secondly, various temperature, leak detection, vibration, high-voltage electric shock and other tests should be carried out on the incoming components or semi-finished products before they are put into production.
In addition, the purity of auxiliary materials should be strictly controlled. There are relatively many technologies that can be used for clean production of energy.

Optimize production process
The semiconductor industry itself strives to reduce its impact on the environment through process technology improvements.
For example, in the 1970s, organic solvents were mainly used to clean wafers in the integrated circuit cleaning technology. In the 1980s, acid and alkali solutions such as sulfuric acid were used to clean wafers. Until the 1990s, plasma oxygen cleaning technology was developed.
In terms of packaging, most companies currently use electroplating technology, which will cause heavy metal pollution to the environment.
However, packaging plants in Shanghai no longer use electroplating technology, so there is no impact of heavy metals on the environment. It can be found that the semiconductor industry is gradually reducing its impact on the environment through process improvements and chemical substitution in its own development process, which also follows the current global development trend of advocating process and product design based on the environment.

At present, more local process improvements are being carried out, including:
·Replacement and reduction of all-ammonium PFCS gas, such as using PFCs gas with low greenhouse effect to replace gas with high greenhouse effect, such as improving process flow and reducing the amount of PFCS gas used in the process;
·Improving multi-wafer cleaning to single-wafer cleaning to reduce the amount of chemical cleaning agents used in the cleaning process.
·Strict process control:
a. Realize automation of manufacturing process, which can realize precise processing and batch production, and reduce the high error rate of manual operation;
b. Ultra-clean process environmental factors, about 5% or less of the yield loss is caused by people and environment. Ultra-clean process environmental factors mainly include air cleanliness, high-purity water, compressed air, CO2, N2, temperature, humidity, etc. The cleanliness level of a clean workshop is often measured by the maximum number of particles allowed per unit volume of air, that is, particle count concentration;
c. Strengthen detection, and select appropriate key points for detection at workstations with large amounts of waste during the production process.

 

Welcome any customers from all over the world to visit us for a further discussion!

https://www.vet-china.com/

https://www.facebook.com/people/Ningbo-Miami-Advanced-Material-Technology-Co-Ltd/100085673110923/

https://www.linkedin.com/company/100890232/admin/page-posts/published/

https://www.youtube.com/@user-oo9nl2qp6j


Post time: Aug-13-2024
WhatsApp Online Chat !